Thông báo

Collapse
No announcement yet.

May bac oi,cho em hoi ve VHDL nhe!

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • May bac oi,cho em hoi ve VHDL nhe!

    Em giờ mới tìm hiểu về VHDL.Khi em đọc sách, thì họ có bảo dùng chương trình MAX PLUS || để biên dịch.

    Ví dụ em có đoạn code:
    ---------------------------------------------
    library ieee;
    use ieee.std_logic_1164.all;

    ------------------------------------------

    entity NAND_ent is
    port( x: in std_logic;
    y: in std_logic;
    F: out std_logic
    );
    end NAND_ent;

    ------------------------------------------

    architecture behv1 of NAND_ent is
    begin

    process(x, y)
    begin
    -- compare to truth table
    if (x='1' and y='1') then
    F <= '0';
    else
    F <= '1';
    end if;
    end process;

    end behv1;

    -----------------------------------------

    architecture behv2 of NAND_ent is
    begin

    F <= x nand y;

    end behv2;

    -----------------------------------------


    Em ghi ra 1 file có đuôi tdf.Nhưng em không biết chạy tiếp theo như thế nào.
    Em xem kết quả thế nào để bắt đầu học VHDL.Rất mong được sự chỉ giáo của mấy bác.
    |

Về tác giả

Collapse

gacon_hihi Tìm hiểu thêm về gacon_hihi

Bài viết mới nhất

Collapse

Đang tải...
X