Thông báo

Collapse
No announcement yet.

Help me!!!

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Help me!!!

    Em viết đoạn code VHDL mà nó báo lỗi:
    Project does not fit in specified device(s)
    Mấy anh nói cho em biết em phải làm gì thêm a?Tại mói học VHDL nên em không có kinh nghiệm!Rất mong được giúp!
    Em cảm ơn nhiều!
    Last edited by gacon_hihi; 20-10-2007, 02:07.
    |

  • #2
    Cái này cooloo có dùng qua nhưng lâu rùi không dùng . Thử coi trong phần chip optimize coi sao . Cái code em viết nhiều khi số lượng memory quá lớn mà con chip em xài lại có memory ít hơn cho nên nó báo như vậy . Bây giờ thử comment bớt đi một số dòng rồi compile lại coi sao .

    Comment


    • #3
      Nếu em viết đoạn nhỏ nó cũng báo lỗi, ví dụ đoạn code sau:

      Muc dich bai toan cua em la: co 1 vector 32 bit, khi su dung thi can mo rong vector do ra thanh 96 bit.

      library ieee;
      use ieee.std_logic_1164.all;
      entity e is port
      (
      r : in std_logic_vector(1 to 32);
      ex : out std_logic_vector(1 to 96)
      );
      end e;
      architecture behaviour of e is
      begin
      ex(1 to 32)<=r;
      ex(33 to 56)<=r(9 to 32);
      ex(57 to 64)<=r(1 to 8);
      ex(65 to 80)<=r(17 to 32);
      ex(81 to 96)<=r(1 to 16);
      end;





      khi bien dich no lai bao loi:



      Error: Project does not fit in specified device(s)

      Error:No fit found, generationg Report File

      Error: Project requires too many(96/72) out pins

      Nên em cũng không biết xử lý nó thế nào?
      |

      Comment


      • #4
        cooloo trả lời theo trí nhớ thôi nghen .

        Sao em cần tới 96 bit out lận ??? Em viết lại đi , chọn khoảng 10 bit in , 10 bit out rùi compile coi sao ??? Con chip em chọn số pin phải nhiều hơn số pin trong code . Sau khi compile , thấy không có vấn đề gì thì con chip em chọn nó có vấn đề .

        Comment


        • #5
          Cảm ơn anh Cooloo, em chọn con chíp khác thi ok rồi ạ, tại mới học nên còn thiếu kinh nghiệm quá anh ơi!
          |

          Comment

          Về tác giả

          Collapse

          gacon_hihi Tìm hiểu thêm về gacon_hihi

          Bài viết mới nhất

          Collapse

          Đang tải...
          X