Thông báo

Collapse
No announcement yet.

Các bác biết về Vhdl giúp em với a!!!

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Các bác biết về Vhdl giúp em với a!!!

    Các bác xem giúp hộ e với. Bài của e là Y= 5,7,9,10,11,12

  • #2
    Theo đề ta tìm được Y = A’BD + ABC’D’ +AB’D +AB’C
    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    entity ex1 is
              port (a,b,c,d : in std_logic;
                      y :out std_logic);
    end ex1;
    
    architecture structure of ex1 is
    signal m,n,o,p : std_logic;
    
    begin
               m<=(not a) and b and d;
               n<=a and b and (not c) and (not d);
               o<=a and (not b) and d;
               p<= a and (not b) and c; 
               y < = m or n or o or p;
    end structure;

    Comment

    Về tác giả

    Collapse

    buns0k Tìm hiểu thêm về buns0k

    Bài viết mới nhất

    Collapse

    Đang tải...
    X