Chào mọi người,em đang làm một project và sắp đến ngày phải nộp rồi ,mà em lại đang gặp phải một số rắc rối có thể nói là "dở khóc dở cười",rất mong được giúp đỡ.
Trong project của em,entity được định nghĩa như sau:
entity Interface245 is
Port ( CLk : in std_logic;
Reset : in std_logic;
RXF : in std_logic;
TXE : in std_logic;
Data : inout std_logic_vector(7 downto 0);
RD : out std_logic;
WR : out std_logic;
Se7 : out std_logic_vector(3 downto 0);
Display7 : out std_logic_vector(7 downto 0));
end Interface245;
Trong đó tín hiệu CLk là tín hiệu xung clock đồng bộ cho một số component bên trong entity trên,em sử dụng FPGA SPARTAN2E 2s200E(với thạch anh được kết nối tới chân GCK0 input (P80)),vấn đề nằm ở chỗ là em không thể gắn tín hiệu CLk(cũng như tất cả các tín hiệu khác) đến chân GCK0(cũng như tất cả các chân khác ngoại trừ các chân IO) này,tại sao vậy?
Để các bác dễ hình dung,em post một số hình ảnh :
*Khi điền kí hiệu P80 vào tín hiệu CLk (với ngụ ý muốn kết nối tới PIN80 (GCK0))
Hình 1
*Khi chuyển qua các ô khác thì kí hiệu P80 ngay lập tức biến mất
Hinh 2
*Đồng thời tại góc bên dưới bên trái của cửa sổ XINLINX PACE có dòng chữ sau Invalid Location : P80
Hinh 3
Vậy là sao,làm cách nào để sửa bây giờ?
Nghe có vẻ đơn giản nhưng thực sự bây giờ em không biết làm cách nào để liên kết tín hiệu CLk đên PIN80,em cũng đã thử dùng EDIT CONTRAINTS để làm việc trên nhưng khi đến công đoạn MAP thì báo error,pó tay.Đây không biết là do lỗi phần mềm hay do cách làm sai?
Mong sớm hồi âm.
Trong project của em,entity được định nghĩa như sau:
entity Interface245 is
Port ( CLk : in std_logic;
Reset : in std_logic;
RXF : in std_logic;
TXE : in std_logic;
Data : inout std_logic_vector(7 downto 0);
RD : out std_logic;
WR : out std_logic;
Se7 : out std_logic_vector(3 downto 0);
Display7 : out std_logic_vector(7 downto 0));
end Interface245;
Trong đó tín hiệu CLk là tín hiệu xung clock đồng bộ cho một số component bên trong entity trên,em sử dụng FPGA SPARTAN2E 2s200E(với thạch anh được kết nối tới chân GCK0 input (P80)),vấn đề nằm ở chỗ là em không thể gắn tín hiệu CLk(cũng như tất cả các tín hiệu khác) đến chân GCK0(cũng như tất cả các chân khác ngoại trừ các chân IO) này,tại sao vậy?
Để các bác dễ hình dung,em post một số hình ảnh :
*Khi điền kí hiệu P80 vào tín hiệu CLk (với ngụ ý muốn kết nối tới PIN80 (GCK0))
Hình 1
*Khi chuyển qua các ô khác thì kí hiệu P80 ngay lập tức biến mất
Hinh 2
*Đồng thời tại góc bên dưới bên trái của cửa sổ XINLINX PACE có dòng chữ sau Invalid Location : P80
Hinh 3
Vậy là sao,làm cách nào để sửa bây giờ?
Nghe có vẻ đơn giản nhưng thực sự bây giờ em không biết làm cách nào để liên kết tín hiệu CLk đên PIN80,em cũng đã thử dùng EDIT CONTRAINTS để làm việc trên nhưng khi đến công đoạn MAP thì báo error,pó tay.Đây không biết là do lỗi phần mềm hay do cách làm sai?
Mong sớm hồi âm.
Comment