Thông báo

Collapse
No announcement yet.

[VN e-book project] Lập trình ... VHDL - Góc thảo luận

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #91
    Nguyên văn bởi kakalot_p5 Xem bài viết
    yesme@ có thể giải thích công dụng của testbech cũng như cho một ví dụ cụ thể về nó được không? Mình nghĩ rằng rất nhiều bạn cũng có thắc mắc này!
    Sorry, mình đang đi nghỉ mát nên không thể viết lách được. Hẹn vài hôm nữa vậy. Nếu bạn nào có thời gian thì viết hộ nhé !


    Comment


    • #92
      Các anh ơi cho em hỏi có đề tài project nào cuả VHDL không?

      Comment


      • #93
        To cung dang tim hieu VHDL cho FPGA, yesme co ve chuyen nghiep nhi, gui cho to sach voi nhe, cam on nhieu lam. Day la mail to: linhbkfet@gmail.com

        Comment


        • #94
          bác nào thích sách và chương trinh mô phỏng và trinh biên dịch thì liên hệ mình theo số điện thoại 0918001733 nhé ,khi đi đeo theo 3 đĩa CD Trắng mình ghi cho nhé.Tài liệu của mình từ năm 2001 lúc mới ra trường không biết giờ còn xử dụng được nữa không

          Comment


          • #95
            Em chuan bi lam luan van ve VHDL. Xin bac yesme@ gửi cho em một bản nha
            thdungpc2@yahoo.com
            Thanks bac truoc

            Comment


            • #96
              anh yesme@ gởi cho em một bản nhé. Cảm ơn anh nhiều lắm!
              mail:conduongnhotrennui2007@yahoo.com

              Comment


              • #97
                gửi cho em một bản nhé.
                mail của em: langtututin2003@yahoo.com
                thanks !!!

                Comment


                • #98
                  Em cũng mới tìm hiểu về VHDL, anh yesme@ gửi cho em 1 bản nha, link của anh cho em ko down đc.
                  mail của em là : manhhung_khtn@yahoo.com
                  thanks.
                  Last edited by manhung_khtn; 30-10-2008, 15:51.

                  Comment


                  • #99
                    anh nào biết dùng VHDL viết testbench của con FF-JK giúp em với,cuối tuần này nộp rồi mà chưa biết viết.Thanks

                    Comment


                    • Bác yesme oi!

                      Em đang học Verilog và định làm đồ án tốt nghiệp về mảng này.Em cần tài liệu hướng dẫn mô phỏng Verilog với Model Sim và ISE lắm.Bác share cho em với nha.À,em cũng không biết viết Test_bench nó như thế nào.Bác có tài liệu thì share cho em luôn với.Cảm ơn bác nhiều.
                      Email:baclieucongtunt@yahoo.com

                      Comment


                      • Bác gửi cho em quyển "VHDL - Analysis and Modeling of Digital System" vào email cho em nhé: tuanithut@gmail.com

                        Comment


                        • Nguyên văn bởi tuanit06 Xem bài viết
                          Bác gửi cho em quyển "VHDL - Analysis and Modeling of Digital System" vào email cho em nhé: tuanithut@gmail.com
                          gửi cho em nữa nhé

                          mail: phongnguyendtvt@gmail.com
                          thank !

                          Comment


                          • Bác Yesme ơi gửi cho em với nhé...

                            Comment


                            • Em up lại hỗ anh yesme@ : cuốn VHDL - Analysis and Modeling of Digital System theo link này : http://ebooklink.net/g/download/0070...eld=zyment+Lum

                              link download cua nó đây: http://www.mediafire.com/?tjrpwdrnr4np95q
                              còn cuốn vhdlcoding : link đây http://www.mediafire.com/?vijcdk7ayusb0p3
                              Chúc các bạn học tốt.

                              Comment


                              • Cuốn sách đó là:
                                "VHDL Coding Styles and Methodologies"
                                Tác giả: Ben Cohen
                                Nhà xuất bản: Springer; 2 edition (March 31, 1999)
                                by Zét-mi 2005/11/26.
                                Bác có thể nào send cho em 1 bản đc ko vậy, mail e là trongvien8979@yahoo.com.vn, thanks bác trc

                                Comment

                                Về tác giả

                                Collapse

                                beyeu Tìm hiểu thêm về beyeu

                                Bài viết mới nhất

                                Collapse

                                Đang tải...
                                X