Mục đích bài toán của em là: có 1 vector 32 bit, khi sử dụng thì cần mở rộng vector đó ra thành 96 bit.Tức là đầu vào là 32 bit, nhưng cần đầu ra đến 96 bit(đoạn này mình ứng dụng vào trong bài mã hóa, trong đó có yêu cầu mở rộng bit, nên em muốn viết 1 component riêng).Đọan code thế này:
library ieee;
use ieee.std_logic_1164.all;
entity e is port
(
r : in std_logic_vector(1 to 32);
ex : out std_logic_vector(1 to 96)
);
end e;
architecture behaviour of e is
begin
ex(1 to 32)<=r;
ex(33 to 56)<=r(9 to 32);
ex(57 to 64)<=r(1 to 8);
ex(65 to 80)<=r(17 to 32);
ex(81 to 96)<=r(1 to 16);
end;
khi biên dịch nó báo lỗi:
Error: Project does not fit in specified device(s)
Error:No fit found, generationg Report File
Error: Project requires too many(96/72) out pins
Theo mấy anh thì em phải làm thế nào?
Cảm ơn mấy anh chỉ dạy!
library ieee;
use ieee.std_logic_1164.all;
entity e is port
(
r : in std_logic_vector(1 to 32);
ex : out std_logic_vector(1 to 96)
);
end e;
architecture behaviour of e is
begin
ex(1 to 32)<=r;
ex(33 to 56)<=r(9 to 32);
ex(57 to 64)<=r(1 to 8);
ex(65 to 80)<=r(17 to 32);
ex(81 to 96)<=r(1 to 16);
end;
khi biên dịch nó báo lỗi:
Error: Project does not fit in specified device(s)
Error:No fit found, generationg Report File
Error: Project requires too many(96/72) out pins
Theo mấy anh thì em phải làm thế nào?
Cảm ơn mấy anh chỉ dạy!
Comment