Nguyên văn bởi jefflieu
Xem bài viết
Thông báo
Collapse
No announcement yet.
Mong một bài hướng dẫn nhỏ về Quartus II
Collapse
X
-
Nguyên văn bởi neverup Xem bài viếtem ko hiểu lắm với câu giải thích 3.. bác bảo xuất ra A='1' -> đếm chu kì đủ 1us ( A vẫn ra giá trị 1 chưa mất) -> xuất ra B='1' ( B bây giờ có giá trị 1 và A vẫn đang giữ giá trị 1) .. có phải bác định nói thế đúng ko ạ
cứ từ từ mà học......
Comment
-
Nguyên văn bởi neverup Xem bài viếtbác jefflieu , thanhnguyen, tan071 ơi, bác nào giải đáp em 1 số câu hỏi vụn vặt này nhé :
1, tín hiệu ra ở chân I/O liệu có đủ mạnh để kích chân của trans không ?
2,giả sử output của em là A với giá trị 4'b0101 thì lúc assign pin với trên broad ấy ạ thì " chiều" nó sẽ ra như thế nào ở A(0),A(1),A(2),A(3)?
3,em muốn thời gian xuất tín hiều ở output bằng 1 khoảng tg delay nào đó thì em sử dụng như thế này được ko ạ :
if(rst) q=a
#delay q=a
em xin cám ơn ạ
Câu 2: Thì bít trọng số thấp tương ứng với chân có trọng số thấp (còn cho ra bus nào là do mình - nhưng phải dựa vào thiết kế I/O của Kit nữa).
Câu 3: trong VHDL có nhiều kiểu lắm ( wait for, for, while,.... thích dùng cái nào thì dùng ) mà I/O và Signal chỉ thay đổi ở xung tiếp theo. chỉ varvarr mới thay đổi giá trị ngay.
Comment
-
Nguyên văn bởi neverup Xem bài viếtem tưởng tần số clk mình đưa vào là mặc định tùy theo mình dùng thạch anh gì chứ ạ
Comment
-
Nguyên văn bởi neverup Xem bài viếtem ko hiểu lắm với câu giải thích 3.. bác bảo xuất ra A='1' -> đếm chu kì đủ 1us ( A vẫn ra giá trị 1 chưa mất) -> xuất ra B='1' ( B bây giờ có giá trị 1 và A vẫn đang giữ giá trị 1) .. có phải bác định nói thế đúng ko ạ
Cho ra B='1' hay A='0' là tùy bạn ... ý mình nói là 2 "việc" khác nhau. Nếu bạn muốn cho xung A = 1 trong thời gian 1 us thì sau 1 us, bạn cho nó xuống 0. Ý mình khi nói xung B='1' là trương hợp bạn muốn 2 xung lệch nhau 1 us.
Comment
-
Nguyên văn bởi jefflieu Xem bài viếtỪ, khổ !
Cho ra B='1' hay A='0' là tùy bạn ... ý mình nói là 2 "việc" khác nhau. Nếu bạn muốn cho xung A = 1 trong thời gian 1 us thì sau 1 us, bạn cho nó xuống 0. Ý mình khi nói xung B='1' là trương hợp bạn muốn 2 xung lệch nhau 1 us.
input x;
output y;
if(x=1) begin
y=1
<timer= 1 khoảng us>
end
nó hơi vớ vẩn tý mong anh hiểu ạ ... Tức là thế này đầu vào x chỉ cần 1 lần " click" để lấy tín hiệu 1 còn đầu ra y sẽ ra và vẫn còn lưu tín hiệu 1 khoảng tg
nữa ạ . và anh giúp dùm em chỗ <timer=1 khoảng us> được không ạ
Comment
-
Code timer:
signal timer: std_logic_vector(11 downto 0):=(others=>'0');
signal timer_run : std_logic :='0';
signal times_up : std_logic :='0';
process(clk)
begin
if(rising_edge(clk)) then
if(timer_run='1' and times_up='0') then
timer<=timer+1;
end if;
end if;
end process;
times_up <= '1' when timer = x"1234" else '0';
Comment
-
Nguyên văn bởi jefflieu Xem bài viếtCode timer:
Comment
-
Mình nghĩ bạn làm bước này trước:
- Tạo 1 module/entity timer, có cổng clock, run, restart và output là timers_up ... có generic/parameter là C_TIME là constant dùng để đếm tới đâu thì dừng.
- Rồi bạn tạo testbench và simulate trong model
- Bạn vào Quartus, tao project và dùng signaltap để coi mạch hoạt động ...
Bạn làm cái đó trước đi ...
Comment
-
Nguyên văn bởi jefflieu Xem bài viếtMình nghĩ bạn làm bước này trước:
- Tạo 1 module/entity timer, có cổng clock, run, restart và output là timers_up ... có generic/parameter là C_TIME là constant dùng để đếm tới đâu thì dừng.
- Rồi bạn tạo testbench và simulate trong model
- Bạn vào Quartus, tao project và dùng signaltap để coi mạch hoạt động ...
Bạn làm cái đó trước đi ...
module time(clk,rst,x,y);
input clk,rst,x;
output [1:0] y;
reg [1:0] y;
reg [3:0] count;
always @(posedge clk)
begin
if (rst) y<=1'b0;
else if (x==1'b1) begin
y<=1'b1;
count<=1;
end
end
always @(posedge clk)
begin
if (rst) begin count<=0;
end
else if (count==249999) begin count<=0;
end
else begin
count<=count+1;
end
end
endmodule
" khi ra dữ liệu tại y=1 thì bắt đầu đếm, đếm đến 249999 thì y =0... anh xem em làm có đúng ko ạ
anh cho em xin cái gmail hay yahoo của anh để em hỏi anh 1 số vấn đề với ạLast edited by neverup; 13-06-2014, 21:23.
Comment
-
Nguyên văn bởi neverup Xem bài viếtvâng để em thử sau ạ .. em định làm như thế này anh kiểm tra dùm em với ạ
module time(clk,rst,x,y);
input clk,rst,x;
output [1:0] y;
reg [1:0] y;
reg [3:0] count;
always @(posedge clk)
begin
if (rst) y<=1'b0;
else if (x==1'b1) begin
y<=1'b1;
count<=1;
end
end
always @(posedge clk)
begin
if (rst) begin count<=0;
end
else if (count==249999) begin count<=0;
end
else begin
count<=count+1;
end
end
endmodule
" khi ra dữ liệu tại y=1 thì bắt đầu đếm, đếm đến 249999 thì y =0... anh xem em làm có đúng ko ạ
anh cho em xin cái gmail hay yahoo của anh để em hỏi anh 1 số vấn đề với ạ
Bạn muốn khi y=1 thì bắt đàu đếm thì phải làm thế này:
always @(posedge clk)
begin
if (rst) begin count<=0;
end
else if (count==249999) begin count<=0;
end
else if (y==1'b1) begin
count<=count+1;
end
end
Khi đếm đến hết y = 0 thì phải làm thế náy:
if (rst) y<=1'b0;
else if (x==1'b1) begin
y<=1'b1;
else if(count==249999)
y<=1'b0;
end
end
Bạn không gán cho 1 register ở 2 vòng always đươc ... Như code đấu của bạn x==1'b1, count <= 1 là không được .... Mỗi câu lênh always@ sẽ sinh ra một mạch gồm các registers nên 2 câu lệnh always@ không cùng gán cho 1 register được.
Bạn vào modelsim viêt test bench và simulate thử đi
Comment
-
Nguyên văn bởi jefflieu Xem bài viếtbạn làm gần đúng ...
Bạn muốn khi y=1 thì bắt đàu đếm thì phải làm thế này:
always @(posedge clk)
begin
if (rst) begin count<=0;
end
else if (count==249999) begin count<=0;
end
else if (y==1'b1) begin
count<=count+1;
end
end
Khi đếm đến hết y = 0 thì phải làm thế náy:
if (rst) y<=1'b0;
else if (x==1'b1) begin
y<=1'b1;
else if(count==249999)
y<=1'b0;
end
end
Bạn không gán cho 1 register ở 2 vòng always đươc ... Như code đấu của bạn x==1'b1, count <= 1 là không được .... Mỗi câu lênh always@ sẽ sinh ra một mạch gồm các registers nên 2 câu lệnh always@ không cùng gán cho 1 register được.
Bạn vào modelsim viêt test bench và simulate thử đi
tiện thể anh cho em hỏi luôn làm thế nào dùng được 2 module trong 1 code ạ em ngại tìm tài liệu tiện thể hỏi luôn
Comment
Bài viết mới nhất
Collapse
-
Trả lời cho Mua anten ở đâu?bởi tmcodonAnten bạn thu nguồn sóng nào vậy? Nếu xem truyền hình thì giờ k làm đc anten nữa rồi
-
Channel: Ăng ten và truyền sóng
Hôm qua, 15:34 -
-
Trả lời cho Mạch nguồn đôi dùng 7812 và 7912bởi tmcodonThank bác nhá. Tìm mãi mới thấy. Giờ vọc đã xem sao...
-
Channel: Hỗ trợ học tập
Hôm qua, 10:39 -
-
bởi tungdqEm cần tìm sơ đồ mạch một số Main máy tính đời cao như Asrock B560M-HDV, các cao nhân chỉ giúp với. Thank!
-
Channel: Các mạch điện ứng dụng
15-11-2024, 08:27 -
-
Trả lời cho Kiểm tra biến ápbởi lamvu0677nhân tiện cho mình hỏi thêm về cái phần test hipot (cao áp),là để kiểm tra độ bền cách điện giưa các cuộn dây,mà thấy thông số test thường ở mức 4kvac,vậy nếu mấy con fail đó xài bình thường vẫn dduocj phải không ạ,vì điện mình làm gì lên tới mức đó
-
Channel: Điện tử dành cho người mới bắt đầu
10-11-2024, 08:52 -
-
Trả lời cho Kiểm tra biến ápbởi lamvu0677máy đo số vòng thì cty có ,mà nó to quá,tưởng có máy nào gọn gọn bỏ túi được thì tiện hơn,vì đi lại nhiều...
-
Channel: Điện tử dành cho người mới bắt đầu
10-11-2024, 08:47 -
-
bởi tmcodonMình thấy diễn đàn có chuyên mục quảng cáo rồi mà. Bạn đóng góp để mở luồng riêng
-
Channel: Hướng dẫn sử dụng diễn đàn
09-11-2024, 13:36 -
-
bởi Nicole08Xin chào mọi người, tôi đã sử dụng Flashforge Inventor 2 được gần 5 năm và rất hài lòng với nó, nhưng tuần trước đã xảy ra sự cố. Có vẻ như động cơ bước đưa sợi in vào đầu nóng đã bị hỏng. Mọi thứ khác có vẻ ổn trên máy...
-
Channel: Điện tử dành cho người mới bắt đầu
09-11-2024, 12:55 -
Comment