Thông báo

Collapse
No announcement yet.

Altera quantus 9.0 công cụ mới nhất để thiết kế FPGA

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Altera quantus 9.0 công cụ mới nhất để thiết kế FPGA

    New in this release of Quartus® II software, support for Altera’s new Arria® II GX FPGAs with cost-optimized, easy-to-use 3.75-Gbps transceivers. Version 9.0 also adds support for Altera’s new Stratix® IV GT FPGAs, the only FPGAs with integrated 11.3-Gbps transceivers. This version also extends Quartus II software’s productivity advantage with the new simultaneous switching noise (SSN) Analyzer tool for faster board design and expanded multi-processor support for faster compiles times. Also in version 9.0, Altera introduces a new ModelSim® verification tool, the ModelSim-Altera® Starter Edition with 50 percent faster simulation—available to all Altera customers free of charge.
    giá của no là 3000$
    link http://download.altera.com/akdlm/sof...e&fileExt=.exe
    patch
    http://rapidshare.com/files/210928402/*****_QII90.rar

    http://ifile.it/g43vq7f
    ****THẤY HAY THÌ CẢM ƠN NHA _ ĐỂ LẦN SAU CÒN PÓT CÁI MỚI****

  • #2
    Cam on nhieu nha, cau kiem ***** tai qua vay? ban 9.0 vua moi ra ma. Kieu nay chac Altera de dong cua qua
    A, file huong dan ***** hong het font roi, cau co the viet lai quy trinh duoc khong? Cam on nhieu nhieu

    Comment


    • #3
      Nguyên văn bởi sau Xem bài viết
      Cam on nhieu nha, cau kiem ***** tai qua vay? ban 9.0 vua moi ra ma. Kieu nay chac Altera de dong cua qua
      A, file huong dan ***** hong het font roi, cau co the viet lai quy trinh duoc khong? Cam on nhieu nhieu
      đầu tien cai altera binh thuong
      khi xong thi dung chay voi
      ban copy cai patch vao thu muc chua file quatus.exe
      chay no bam vao cai o dau tien va sau do thi no tao ra 1 cai licensekey
      cai key nay lam gi thi den day chac ban biet roi
      minh cung khong dành cai nay lam vi minh dung xilinx ma

      Comment


      • #4
        bo xung them nha
        1:>su dung quantus 9 ***** de patch cho 2 file la sys_cpt.dll va quartus.exe trong thu muc mac dinh la C:\altera\90\bin
        2:>o trong license.dat do keygen tao ra ban hay thay doi HOSTID=XXXXXXXXXXXX bang ID mang cua ban

        Comment


        • #5
          Nguyên văn bởi hungthientu Xem bài viết
          bo xung them nha
          1:>su dung quantus 9 ***** de patch cho 2 file la sys_cpt.dll va quartus.exe trong thu muc mac dinh la C:\altera\90\bin
          2:>o trong license.dat do keygen tao ra ban hay thay doi HOSTID=XXXXXXXXXXXX bang ID mang cua ban

          Minh hieu roi. Cam on ban nhieu nha

          Comment


          • #6
            o day la link tai sach va cac bai giang bang video cua altera toi thay rat hay nen cac ban co the tham khao
            vi du :
            DSP Literature (March 2009) (82 MB)
            va rat nhieu bai giang khac ,o day:
            http://www.altera.com/literature/lit-index.html

            Comment


            • #7
              theo tôi thì dùng xilinx tốt hơn vì đầu tiên nó là tập đoàn sản xuất FPGA hàng đầu thế giới và các công cụ của họ dễ sử dụng hơn ,các kit hỗ trợ đủ loại luôn từ đơn giản cho đến cao cấp có tuốt.khoảng nửa năm trước mình dùng bản 10.1 nhưng hơn 1 tháng nay mình chuyển sang bản 11.1 do nó hỗ trợ virter 6 (bản FX của họ rất mạnh nó nhúng 2 bộ vi xử lí cứng Power PC 440 vào nên rất mạnh tốc độ tới 6,5 gbs so với 3,75 của Nios (altera) vì nios của altera chẳng qua là viết bàng VHDL mà thôi.

              mình đang sử dụng xilinx 11.1 thấy rất tốt ,tốc độ thực hiện cao hơn hẳn so với bản 10.1 ,nếu bạn thấy thích hãy tải nó và cho biết ý kiến.
              lưu bút:nguyễn văn dương bk

              khi có bản mới tôi lại sẽ post lên thead này một cách sớm nhất , hãy ghé thăm

              Comment


              • #9
                Nguyên văn bởi Imio
                @Hungthientu
                Bạn chắc là biết nhiều về FPGA lắm, mình cũng mới mày mò cái này, thấy cũng hay. Nếu bạn có tài liệu nào hay về FPGA chia sẻ cho anh em với, thanks
                bạn à ,mình post phần mềm lên thì cũng chỉ biết sử dụng nó mà thôi
                còn biết thì "biển rộng vô bờ chỉ biết phần nhỏ thôi"
                phần FPGA này nó cũng chỉ có các cấu trúc lệnh và các thành phần cơ bản thôi nhưng làm sao để viết ra thuật giải là điều vô cùng khó khăn .
                còn nếu biết thì chỉ là sơ sơ mà thôi,chỉ vài điều được học trên lớp mà thôi ,vài cấu trúc cơ bản mà thôi
                sách thì tiếng việt hiếm lắm chỉ sách tiếng anh là nhiều thôi
                bạn có thể lên google search đầy ra chỉ sợ không học được hết thôi
                các cuốn nhưigital_VLSI_Systems_Design , Doone Publications - HDL Chip Design ,FPGA_Prototyping_by_VHDL_Examples ,Fundamentals of Digital Logic with VHDL (2nd) ,Engineering Digital Design , processor design ,digital logic and computer ...
                bạn có thể tham khảo những cuốn sách trên hoặc có thể tìm thêm miễn là có thể đọc được tiếng anh.

                Comment


                • #10
                  Mình viết chuơng trình dưới đây, mình muốn nó hiển thị kết quả ra màn hình thì làm thế nào? Minh dùng phần mềm quartus 9.0
                  Mình thấy trên nhìu VD trên các WEB nó cho hiển thị kết quả, nhưng trong quartus thì mình ko biết làm sao. MOng các bạn chỉ dẫn.

                  module test(out);
                  output out;
                  reg a,b,c;
                  initial
                  begin
                  a=0;
                  b=1;
                  c=0;
                  end
                  always c=#5~c;
                  always @ (posedge c)
                  begin: exch_block
                  a<=b;
                  b<=a;
                  end
                  initial
                  begin
                  $monitor("$time=%d a=%d b=%d",$time,a,b,c);
                  #30$finish;
                  end
                  endmodule
                  Kq:
                  $time=?
                  a=?
                  b=?
                  c=?

                  Comment


                  • #11
                    Hi các anh chị,
                    Tôi thấy có bài viết hướng dẫn ***** Quartus II. Thú thật đã từng là nhân viên của Altera tôi thấy đau lòng khi thấy những bài viết như vậy.
                    Khi làm việc ở Altera tôi mới thấy khâm phục qui trình làm việc ở đây. Để release được một phiên bản Quartus chúng tôi phải trải qua biết bao nhiêu công đoạn. Mỗi một release của Quartus ngoài coding, chúng tôi phải chạy khá nhiều đoạn test. Dĩ nhiên tất cả các test đó được chạy một cách tự động trên các "Computer Farm". Nhưng để analyze các error. It's not too easy.
                    Một vấn đề nữa các bạn được dùng Quartus miễn phí mà nhỉ. Nếu chỉ để học tập và nghiên cứu thì chỉ cần sử dụng phiên bản "Web Editor". Với phiên bản này các bạn đã có thể làm được nhiều, rất nhiều rồi. Các lớp học của ICDREC cũng toàn sử dụng phiên bản "Web Editor" để dạy mà. Bản thân tôi, ở nhà vẫn dùng phiên bản "Web Editor" để thực hành và hướng dẫn luận văn cho sinh viên.
                    Để download các ban có thể vào trực tiếp trang web của Altera. "www.altera.com". Tốc độ download khá tốt. Với phiên bản 8.1 trở đi chúng ta chỉ cần down về và cài đặt. Không cần xin license như các phiên bản trước đây.

                    Xin được trả lời câu hỏi của "power_hf". Với Quartus bạn không thể chạy các testbench. Để thực hiện mô phỏng bằng testbench bạn cần down thêm Altera Modelsim nua. Còn với Quartus bạn có thể mô tả thiết kế. Việc kiểm tra chúng ta sử dụng chức năng "Vector Wareform" để các các tín hiệu kích thích. Và sử dụng chức năng Simulation để kiểm tra kết quả
                    Chúc bạn thành công
                    Mọi thắc mắc các bạn có thể gởi trực tiếp qua mail, vì tôi hơi ít lên diễn đàn: nguyentrungkien31111@yahoo.com

                    Còn một vấn đề nữa tôi đang muốn mở một lớp về Verilog HDL và hướng dẫn sử dụng Quartus miễn phí cho tất cả mọi người. Nhưng không có địa điểm tổ chức. Rất mong được sự giúp đở của các mạnh thường quân. Lớp học dự tính kéo dài 3-4 tuần vào các thứ 7 và chủ nhật.
                    Rất mong nhận được sự giúp đỡ. Chân thành cảm ơn.

                    Comment


                    • #12
                      Hi các bạn, mình đồng tình với bạn phuongnhoc về vấn đề bản quyền.
                      Vì để tạo ra một sản phẩm như thế thì rất khó. Với lại khi học FPGA chúng ta chỉ cần dùng bản web edition là đủ rồi. Vì nó chỉ thua kém phần mềm thương mại ở những một số đặt điểm trong phân tích, tổng hợp và tối ưu những thiết kế lớn.

                      Muốn học FPGA nên tìm hiểu nhiều loại sản phẩm của các công ty khác nhau: ví dụ như Altera: dòng Stratic, Cyclone; Xilinx: dòng Virtex, Spartan; Actel: ... Mỗi loại có những đặc điểm nổi trội hơn loại khác. Nếu dòng Virtex chạy nhanh hơn Stratix thì Stratix tiêu thụ năng lượng thấp hơn virtex. Hiệu tại trên thị trường FPGA của thế giới thì phân rõ ra hai cực chính là Xilinx và Altera, Xilinx trội hơn về thị phần nhưng không nhiều lắm. Còn các công ty khác thì không đáng kể. Do đó các bạn nên dùng cả hai sản phẩm của Altera và Xilinx. Khi tổng hợp thiết kế nên chọn tổng hợp trên nhiều loại thiết bị như Stratix, Virtex, Cyclone, Spartan.
                      Khi thiết kế một hệ thống số trên FPGA bạn nên xem qua cấu trúc của loại FPGA mà mình cần sử dụng, điều đó sẽ giúp rất nhiều cho thiết kế của bạn về mặt tối ưu thiết kế.

                      Về mặt tài liệu FPGA thì bạn đừng lo, trang web chủ của Altera và Xilinx có đầy đủ mọi thứ, từ cách sử dụng phần mềm đến cách viết code cho phù hợp với tài nguyên của thiết bị. Về phía mình thì mình thích tài liệu của Altera hơn vì nó rất rõ ràng, dễ hiểu.

                      Còn về ngôn ngữ VHDL hay Verilog HDL thì đầy trên mạng, cả cách sử dụng và ví dụ. Mình xin đưa một trang web về vấn đề này: http://www.asic-world.com/vhdl/index.html

                      Bạn muốn so sánh hai phần mềm Quartus II - Altera và IDE-Xilinx? Không nên làm việc đó vì điều đó không đơn giản như bạn nghĩ là chạy cùng một thiết kê rồi so sánh kết quả sau khi tổng hợp vì thiết kế của bạn không đủ lớn để làm điều đó.

                      Về FPGA KIT để thực hành, bạn nên cân nhắc trước khi mua nó. Vì nó chỉ là công đoạn cuối cùng để kiểm tra thôi. Cái được dùng nhiều nhất là mô phỏng (simulation), bạn có thể dùng phần mềm modelsim - bản sinh viên hay altera-modelsim.

                      Cuối cùng chúc các bạn thành công với FPGA.

                      Comment


                      • #13
                        bạn à có bản quyền thì tốt thật nhưng với sinh viên thì tiêu đâu mà mua

                        mà bản dùng cho sinh viên giới hạn tính năng và lại giới hạn số thiết bị

                        muốn xem tính năng của nó ra sao lại không biết

                        vả lại chúng ta sử dụng software unlock và ***** đã quá phổ biến rồi

                        http://dientuvietnam.net/forums/showthread.php?t=23623

                        sử dụng phần mềm unlock vì tương lai của chúng ta

                        Comment


                        • #14
                          Hi hungthientu,

                          Hiện tại nếu chỉ dùng để nghiên cứu ở mức độ sinh viên thì không cần dùng đến những chức năng bị khóa đâu (chức năng hổ trợ sản phẩm thương mại). Các công ty dư biết sinh viên không có tiền để mua bản quyền nên họ mới đưa ra bản web edition. Như mình đã nói ở trên, sinh viên cứ yên tâm sử dụng bản web edition nó không thua về mặt tổng hợp thiết kế đâu.

                          Còn việc bản web edition hạn chế một số thiết bị, đơn giản vì những thiết bị đó giá rất cao (vì được sử dụng cho viễn thông, quân sự hay công nghiệp - do có tốc độ cao, tài nguyên nhiều và ổn định với môi trường công nghiệp). Nếu ai có tiền mua những thiết bị này thì chắc sẽ có tiền để mua bản quyền quartus II.

                          Còn nếu bạn muốn dùng các lõi IP thì chắc chắn phải mua bản quyền vì mỗi lõi sẽ bán riêng với các mức giá khác nhau tùy vào ứng dụng của nó. Khi bạn mua bản quyền của Quartus II, bạn sẽ được cho bản quyền của một số IP thông dụng. Các IP đều có chế độ open core plus cho người sử dụng, nên các bạn sinh viên đều có thể sử dụng được các IP này nhưng chỉ trong 1h mà thôi, như thế là quá đủ so với đề tài sinh viên, (ngay cả cho luận văn).

                          Còn bản **** thì mình không chắc lắm về độ ổn định của nó.

                          Comment


                          • #15
                            Nguyên văn bởi hungthientu Xem bài viết
                            bạn à có bản quyền thì tốt thật nhưng với sinh viên thì tiêu đâu mà mua

                            mà bản dùng cho sinh viên giới hạn tính năng và lại giới hạn số thiết bị

                            muốn xem tính năng của nó ra sao lại không biết

                            vả lại chúng ta sử dụng software unlock và ***** đã quá phổ biến rồi

                            http://dientuvietnam.net/forums/showthread.php?t=23623

                            sử dụng phần mềm unlock vì tương lai của chúng ta
                            chưa thấy đề tài nào của sinh viên cần dùng tới tính năng của bản subcribe cả.

                            có lẽ sinh viên mình xài Cyclone II là đủ rồi, nếu chú nào đủ tiền mua Stratix III về chơi thì chắc cũng thuộc hàng đại gia, cần gì bản ***** nhỉ.

                            còn nữa, mọi người đừng lầm tưởng ***** xài được là xong nhé, nếu đã chỉ cách ***** thì làm ơn chỉ luôn cách kiểm tra talkback, không thì bạn xài ***** thì Altera vẫn biết được đó (bạn có ***** thì vẫn ko có được Encrypted ID trên datbase của Altera), vì các design của bạn tương đôí nhỏ và IP ở Việt Nam nên có thể không có vấn đề gì, nhưng nếu bạn làm cho công ty, làm mấy cái design lớn mà xài ***** là có thể tiêu luôn. Thật ra Altera không có ý bán Quartus cho sinh viên và người dùng đơn lẻ mà họ tập trung vào các công ty sử dụng device cùng với solution của họ, vì vậy mới có bản free cho mọi người xài.

                            Comment

                            Về tác giả

                            Collapse

                            hungthientu nguyen van duong Tìm hiểu thêm về hungthientu

                            Bài viết mới nhất

                            Collapse

                            Đang tải...
                            X