Thông báo

Collapse
No announcement yet.

giúp em bài VHDl này với

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • giúp em bài VHDl này với

    cho 1 mạch logic như sau
    c=[ a nand (a and b)] and [b nand (a and b)]
    mô tả mạch sau bằng Structure
    Em làm thế này
    em khai báo 2 component and2 và nand 2

    trong chuong trình chính em khai báo phần component trong architecture như thế này

    architecture ................
    component and2 port(......);
    end component;
    component nand2 port(.....);
    end component;
    begin
    .................
    Em dùng Max-Plus mô phỏng thì nó báo lỗi.Mong các anh chỉ giúp em sai chỗ nào với.Thanks

  • #2
    Đưa nguyên code lên đi bác ơi, cho ae dể debug. Nhìn sơ qua thì chưa thấy gì cả. Bác khai báo component and2 và nand2 nhưng đã viết arch cho 2 cái đó chưa?
    Chuyện nhỏ như con thỏ,bắt thỏ mới là chuyện lớn!!!

    Comment


    • #3
      2 component em khai báo như sau:
      and2:
      library ieee;
      use ieee.std_logic_1164.all;
      ---------------------------------
      entity and2 is
      port(a,b:in std_logic;z:out std_logic);
      end and2;
      ----------------------------------------
      architecture structural of and2 is
      begin
      z<= a and b;
      end structural;

      nand2:
      library ieee;
      use ieee.std_logic_1164.all;
      -----------------------------------------
      entity nand2 is
      port(a,b: in std_logic; z : out std_logic);
      end nand2;
      --------------------------------------------
      architecture structural of nand2 is
      begin
      z <= a nand b;
      end structural;

      và chương trình chính:
      library ieee;
      use ieee.std_logic_1164.all;
      ---------------------------------
      entity bai13 is
      port(a,b : in std_logic; c: out std_logic);
      end bai13;
      ----------------------------------
      architecture structural of bai13 is
      signal u:std_logic_vector(2 downto 0);
      component nand2 port(a,b:in std_logic;z: out std_logic);
      end component;
      component and2 port(a,b:in std_logic;z: out std_logic);
      end component;
      begin
      user1:and2 port map (a,b,u(0));
      user2:nand2 port map (a,u(0),u(1));
      user3:nand2 port map (b,u(0),u(2));
      user4:and2 port map (u(1),u(2),c);
      end structural;

      và lỗi chương trình báo:

      Comment


      • #4
        Viết bằng Process có được ko ?

        library IEEE;
        use IEEE.STD_LOGIC_1164.ALL;
        use IEEE.STD_LOGIC_ARITH.ALL;
        use IEEE.STD_LOGIC_UNSIGNED.ALL;

        ---xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx

        entity Help_you is
        Port ( a,b : in STD_LOGIC;
        c : out STD_LOGIC);
        end Help_you;

        ---xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx

        architecture Behavioral of Help_you is
        begin
        process(a,b)
        begin
        c <= (a nand (a and b)) and (b nand (a and b));
        end process;
        end Behavioral;
        Người nhỏ bé.

        Comment


        • #5
          tại đề bài yêu cầu viết bằng structural nên phải dùng các component bạn à.chứ không bài này ta dùng pocess nhu bạn hoặc dùng dataflow cũng nhanh lắm.Minh muốn hỏi là vít bằng structural nhu the nào?
          note:mà hình như bạn dùng bị dư gói:use IEEE.STD_LOGIC_ARITH.ALL;
          use IEEE.STD_LOGIC_UNSIGNED.ALL;

          Comment


          • #6
            Vấn đề ở chỗ tên component bạn đặt trùng với megafunc của nó nên báo lỗi, bạn đổi lại tên khác xem sao (myAND2 , myNAND2 chẳng hạn), mình dịch bằng quatus thì ok, maxplus gở mất rồi nên chưa thử dc.
            à thêm cái
            Code:
            library work;
            use work.all;
            cho chắc ăn nhá.
            Chuyện nhỏ như con thỏ,bắt thỏ mới là chuyện lớn!!!

            Comment


            • #7
              cái này có tác dụng gì hả bạn
              library work;
              use work.all;

              Comment


              • #8
                Chỉ mình cách nối dây vào mạch khuyếch đại âm thanh 9v

                Mình có một mạch khuyếch đại âm thanh, 2 cái loa lấy từ cặp loa máy tính là 9v, mọt jach tròn của máy tính và một máy nghe nhạc MP3 dùng jack trên. Mình mong các bạn giúp mình nối những cái đó lại sao cho nó hát được. Nếu không thì các bạn chi mình hình của mạch đã được nối dây sẵn để mình tham khảo. Mình là dân mới vô nghề mong các bạn giúp dễ hiểu. Cảm ơn, hình đây:
                http://s959.photobucket.com/home/quoclam060698

                Comment

                Về tác giả

                Collapse

                khivuong Tìm hiểu thêm về khivuong

                Bài viết mới nhất

                Collapse

                Đang tải...
                X