Thông báo

Collapse
No announcement yet.

Cùng nghiên cứu SystemC

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • Cùng nghiên cứu SystemC

    Bắt đầu có thời gian tập tễnh ngôn ngữ SystemC đây.
    Mới nói mấy chữ đã thấy khó chịu rồi .

    Làm cách nào để tạo parameter cho PORT vậy?
    Như bên VHDL, Jeff quen làm generic:

    entity ABC is
    generic(DATA_WIDTH: integer:=32);
    port( clk : in std_logic;
    din: in std_logic_vector(DATA_WIDTH-1 downto 0);
    ....);

    Có search Google màh hông thấy ... ai biết chỉ dùm ...

  • #2
    Nguyên văn bởi jefflieu Xem bài viết
    Bắt đầu có thời gian tập tễnh ngôn ngữ SystemC đây.
    Mới nói mấy chữ đã thấy khó chịu rồi .

    Làm cách nào để tạo parameter cho PORT vậy?
    Như bên VHDL, Jeff quen làm generic:

    entity ABC is
    generic(DATA_WIDTH: integer:=32);
    port( clk : in std_logic;
    din: in std_logic_vector(DATA_WIDTH-1 downto 0);
    ....);

    Có search Google màh hông thấy ... ai biết chỉ dùm ...
    Tạm dịch như sau:

    template <int DATA_WIDTH = 32>
    SC_MODULE (ABC)
    {
    sc_in<bool> clk;
    sc_in<sc_uint<DATA_WIDTH> > din;
    ...
    };

    Khi đặt dữ liệu

    ABC<8> dut("dut"); // din = 8 bit (unsigned integer)

    ABC dut("dut"); // din = 32 bit (unsigned integer)

    template rất thông dụng trong C/C++. Tôi viết khá nhiều đề tài về systemC nhưng thấy có vẻ không được thích ứng cho lắm. Ví dụ ở trên cho ta thấy nếu chọn một cách viết tiêu chuẩn cho phần cứng thì nó cũng không khác gì mấy với VHDL và Verilog. Nó có thể giống Verilog hơn là vì Verilog xuất phát từ C like ngôn ngữ.

    Hiện giờ có rất nhiều hãng đang từ từ chuyển hướng qua C/C++/systemC. Không biết mất bao lâu thì ngôn ngữ này sẽ trở thành thông dụng cho những người thiết kế hardware. Còn về software và modeling thì đã dùng ngôn ngữ này làm chính.
    Chúc một ngày vui vẻ
    Tony
    email : dientu_vip@yahoo.com

    Comment


    • #3
      Ah, hiểu rồi ... dùng template hèn gì tìm hoài hông thấy.
      Thanks a. Cool, Jeff dang viết thử model cho FFT

      Comment


      • #4
        Làm được roài hehe.

        Comment


        • #5
          Luồng này sẽ được tiếp tục ở http://www.dientuvietnam.net/forums/...ad.php?t=34991

          Comment

          Về tác giả

          Collapse

          jefflieu Email minh trực tiếp nếu bạn cần download tài liệu gấp Tìm hiểu thêm về jefflieu

          Bài viết mới nhất

          Collapse

          Đang tải...
          X