Thông báo

Collapse
No announcement yet.

Cần giúp đỡ về lập trình PWM bằng ngôn ngữ VHDL

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • #16
    Mình có một ý kiến như thế này. Các bạn đưa cả một bản code VHDL lên thế này thì sao xem được. Theo mình là như thế này: kiếm một con microcontroller(PIC chẳng hạn). Lôi cái datasheet nó ra. Xem sơ đồ khối của PWM. Rồi theo đó là tạo các module bằng VHDL. Check từng cái một. Rồi ghép nó vào.
    Chứ ngồi viết code theo kiểu hiểu thế nào về PWM rồi viết thế này chẳng theo chuẩn gì cả. Đôi khi một vài tính năng của PWM mình cũng ko thể nghĩ ra được.
    Yêu cầu: dùng VHDL để viết được các module cơ bản.

    Comment


    • #17
      nếu bạn chia xung thù có thể sử dụng cái này xem. Thay đổi đọ rộng xung cho phù hợp với bạn


      library IEEE;
      use IEEE.STD_LOGIC_1164.ALL;
      entity xung is
      Port ( clk : in STD_LOGIC;
      clk_out : out std_logic;
      cyt : inout integer range 0 to 9;
      ena : in STD_LOGIC;
      rst : in STD_LOGIC);
      end xung;

      architecture Behavioral of xung is

      begin
      process (clk,rst,ena)
      variable i: integer range 0 to 9;
      begin
      if rst = '1' then
      cyt <= 0;
      elsif ena = '1' then
      if clk'event and clk ='1' then
      i := i + 1;
      if (i = 9) then i:= 0;
      end if;
      end if;
      cyt <= i;
      if (cyt <= 4) then
      clk_out <='1';
      elsif (cyt > 4 ) then
      clk_out <='0';
      end if;
      else clk_out <='0';
      end if;
      end process;

      end Behavioral;
      -------------------------------------------------------------

      Comment


      • #18
        Cám ơn bạn nhé, mình cũng đã điều chế được PWM thay đổi khi mà chir cần thay đổi độ rộng của dutycycle theo từng mức là 5% một, và nếu muốn thay đổi cả chu kỳ thì cũng có thể thay đổi được bằng cách là chia xung đầu vào sau đó xử lí xung đó để lầy PWM mà mình mog muốn, chỉ cần tác động các switch trên phần cứng mà không cần thay đổi trên phần mềm.
        Có gì thắc mắc em sẽ hỏi các anh chị sau.

        Comment


        • #19
          Nguyên văn bởi FPGA_9572XL Xem bài viết
          Cám ơn bạn nhé, mình cũng đã điều chế được PWM thay đổi khi mà chir cần thay đổi độ rộng của dutycycle theo từng mức là 5% một, và nếu muốn thay đổi cả chu kỳ thì cũng có thể thay đổi được bằng cách là chia xung đầu vào sau đó xử lí xung đó để lầy PWM mà mình mog muốn, chỉ cần tác động các switch trên phần cứng mà không cần thay đổi trên phần mềm.
          Có gì thắc mắc em sẽ hỏi các anh chị sau.
          Good, giải quyết vấn đề đến nơi đến chốn!!! Thread closed!!!

          Comment


          • #20
            bạn ơi. Bạn cho tớ đồ án điều khiển động cơ của bạn đc không?
            Tó cũng phải làm đồ án (làm mạch) về điều khiển tốc độ động cơ thông qua cổng máy in của máy tính!

            Comment


            • #21
              Các anh ơi cho em hỏi điều chế PWM bằng VHDL điều khiển động cơ DC và điều khiển động cơ AC khác nhau ở chỗ nào thế ạ, bài hôm trước em làm về PWM đó, lên gặp thầy hướng dẫn thì thầy bảo PWM đó chỉ điều khiển được động cơ DC thôi, và bắt em làm lại. Các anh chỉ cho em với ạ
              Em cám ơn.

              bạn ơi. Bạn cho tớ đồ án điều khiển động cơ của bạn đc không?
              Tó cũng phải làm đồ án (làm mạch) về điều khiển tốc độ động cơ thông qua cổng máy in của máy tính!
              bạn cho mình mail, mình gửi qua mail cho, cũng hơi nặng, cái đồ án môn học mà mình đã bảo vệ là điều khiển tốc độ step moto, hiển thị số vòng quay trên led 7 đoạn . mà bạn biết gì về PWM cho động cơ AC thì hướng dẫn mình với, mình đang làm đồ án tốt nghiệp về cái đó

              Comment


              • #22
                uh! bạn gửi cho mình qua địa chỉ
                doduc812@gmail.com
                mình cảm ơn!

                Comment

                Về tác giả

                Collapse

                FPGA_9572XL Tìm hiểu thêm về FPGA_9572XL

                Bài viết mới nhất

                Collapse

                Đang tải...
                X