chào các bạn mình có bài toán thế này nhưng không hiểu thật toán lắm mong các bạn phân tích giúp.bài này đề là thanh ghi dịch n bit (D-FF).
entity ghidich is
generic (n: integer :=4);
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
d : in STD_LOGIC;
q : out STD_LOGIC_VECTOR(n-1 DOWNTO 0)-- lệnh này mình ko hiểu .
);
end ghidich;
architecture ghidich of ghidich is
begin
process(clk,rst)
variable internal : STD_LOGIC_VECTOR(n-1 DOWNTO 0);
begin
if(rst='1') then
internal:= (others=>'0') ;
elsif(clk'event and clk='1') then
internal:=internal(n-2 downto 0) & d;
end if;
q<= internal;
end process;
end ghidich;
entity ghidich is
generic (n: integer :=4);
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
d : in STD_LOGIC;
q : out STD_LOGIC_VECTOR(n-1 DOWNTO 0)-- lệnh này mình ko hiểu .
);
end ghidich;
architecture ghidich of ghidich is
begin
process(clk,rst)
variable internal : STD_LOGIC_VECTOR(n-1 DOWNTO 0);
begin
if(rst='1') then
internal:= (others=>'0') ;
elsif(clk'event and clk='1') then
internal:=internal(n-2 downto 0) & d;
end if;
q<= internal;
end process;
end ghidich;
Comment