Thông báo

Collapse
No announcement yet.

thiet ke va giai ma hdb3

Collapse
X
 
  • Lọc
  • Giờ
  • Show
Clear All
new posts

  • thiet ke va giai ma hdb3

    cac anh chi k46 giup bon em ve
    cai nay voi ,bon em moi hoc ve mon project
    va lam bai tap lon nhung ko hieu no la gi va
    phai hoc trong tai lieu nao

  • #2
    gửi cho đồng chí cái báo cáo bài tập lớn môn kĩ thuật truyền dẫn của tôi

    hồi trước có làm cái mạch này roài
    SHARE KHO PHIM LỚN

    Comment


    • #3
      i'm calling to 911
      có ai có tài liệu nào nói kỹ về hdb3 không post lên cho tại hạ cái
      tại hạ có search cái này rồi nhưng không có cái tài liệu nào ra hồn cả. Còn tài liệu của giaosucan thi lại wa vắn tắt đọc xong chẳng hiểu gì cả

      Comment


      • #4
        trên mạng có cả đống ,tài liệu tôi giải thích kĩ thế còn dè
        SHARE KHO PHIM LỚN

        Comment


        • #5
          nhưng giáo sư ơi , tài liệu về nó cái nào cũng chỉ có vài dòng vắn tắt thui
          đọc thế thì làm sao lam được project
          trước đại ca nghiên cứu tài liệu nào mà thi ngon thế, chỉ cho tiểu đệ đi

          Comment


          • #6
            Làm sao mà post dược cả 1 CD đây
            Nhà sản xuất chuyên nghiệp các sản phẩm OEM cho gia dụng và công nghiệp.

            Biến tần
            Máy giặt
            Lò vi sóng
            Bếp từ.
            Tủ lạnh.
            Điều hòa

            Comment


            • #7
              Đây là 1 đoạn chương trình VHDL để decoder HDB3

              RXAB <= RXA or RXB;
              input <= B0 when (RXA = '0' and RXB = '0') else
              BPOS when (RXA = '1' and RXB = '0') else
              BNEG;


              FSM: process (input, present_state, prev_pulse)
              begin
              case present_state is
              when idle =>
              If (input = B0) then
              next_state <= St0;
              else
              next_state <= StB;
              end if;
              when StB =>
              If (input = B0) then
              next_state <= St0;
              else
              next_state <= StB;
              end if;
              when St0 =>
              If (input = B0) then
              next_state <= St00;
              else
              next_state <= StB;
              end if;
              when St00 =>
              If (input = B0) then
              next_state <= St000;
              else
              if (input = prev_pulse) then
              next_state <= StB00V;
              else
              next_state <= StB;
              end if;
              end if;
              when St000 =>
              If (input = B0) then
              next_state <= St0;
              else
              if (input = prev_pulse) then
              next_state <= St000V;
              else
              next_state <= StB;
              end if;
              end if;
              when StB00V =>
              If (input = B0) then
              next_state <= St0;
              else
              next_state <= StB;
              end if;
              when St000V =>
              If (input = B0) then
              next_state <= St0;
              else
              next_state <= StB;
              end if;
              end case;
              end process;

              with next_state select
              prev_pulse_d <= input when StB,
              prev_pulse when others;

              state_clocked: process(reset, CLK)
              begin
              If(reset = '1') then
              present_state <= idle;
              elsif (CLK'event and CLK = '1') then
              present_state <= next_state;
              end if;
              end process;

              main_ctrl: process(reset, CLK)
              begin
              if(reset = '1') then
              prev_pulse <= B0;
              data_buffer <= "0000";
              buffer_index <= 0;
              data <= '0';
              elsif(CLK'event and CLK = '1') then
              prev_pulse <= prev_pulse_d;
              if (buffer_index = 3) then
              buffer_index <= 0;
              else
              buffer_index <= buffer_index + 1;
              end if;

              data <= data_buffer(buffer_index);
              buffer_load:
              FOR i IN 0 to 3 LOOP
              if(data_buffer_ena(i) = '1') then
              data_buffer(i) <= data_buffer_d;
              end if;
              END LOOP buffer_load;

              end if;
              end process;

              with next_state select
              data_buffer_d <= '1' when StB,
              '0' when others;
              Nhà sản xuất chuyên nghiệp các sản phẩm OEM cho gia dụng và công nghiệp.

              Biến tần
              Máy giặt
              Lò vi sóng
              Bếp từ.
              Tủ lạnh.
              Điều hòa

              Comment


              • #8
                MinhHa có thể cho địa chỉ để bọn tui mượn CD...
                Vậy có được không?

                Comment


                • #9
                  Tui làm về mã hóa HDB3 ai có thể cung cấp tài liệu xin giúp với..

                  Comment


                  • #10
                    đại ca cho bọn tiểu đệ địa chỉ bọn tiểu đệ sẽ đến tận nơi thỉnh giáo...
                    mà sao đại ca khong post lên dưới dạng file nén đó. Tại hạ nghĩ một quyển sách thì post lên ngon
                    Cố lên đại ca

                    Comment


                    • #11
                      trời chờ mãi mà chẳng thấy đại ca post tài liệu

                      Comment


                      • #12
                        cạu cho toi dia chi mail di toi gui tai lieu cho mail toi la: chinhtq72@yahoo.com
                        Nguyên văn bởi blackchild Xem bài viết
                        cac anh chi k46 giup bon em ve
                        cai nay voi ,bon em moi hoc ve mon project
                        va lam bai tap lon nhung ko hieu no la gi va
                        phai hoc trong tai lieu nao
                        |

                        Comment

                        Về tác giả

                        Collapse

                        blackchild Tìm hiểu thêm về blackchild

                        Bài viết mới nhất

                        Collapse

                        Đang tải...
                        X